SystemVerilog

SystemVerilogは、SystemVerilogの構文強調表示を提供するVIMプラグインです。
今すぐダウンロード

SystemVerilog ランキングとまとめ

広告

  • Rating:
  • ライセンス:
  • GPL
  • 価格:
  • FREE
  • 出版社名:
  • Aditya Kher
  • 出版社のWebサイト:
  • http://www.vim.org/scripts/script.php?script_id=1952

SystemVerilog タグ


SystemVerilog 説明

SystemVerilogは、SystemVerilogの構文強調表示を提供するVIMプラグインです。 SystemVerilogはSystemVerilog.IEEEの構文強調表示を提供するVIMプラグインです.SystemVerilogは、業界初の統合ハードウェアの説明と検証言語(HDVL)規格です。 SystemVerilogは確立されたIEEE 1364 Verilog言語の主要な拡張です。 Vimについて:Vimは、1991年にAmigaコンピュータのためにBram Moolenaarによって最初に発表されたテキストエディタです。 VIMはVIエディタの拡張版として作成され、プログラムのソースコードの編集に役立つように設計された多くの追加機能があります。そのフルネームはVIが改善されました。プログラムのライセンスにはいくつかのチャリティーウェア囲いが含まれています.VIMのインターフェースはメニューまたはアイコンに基づいていませんが、テキストユーザーインターフェイスに記載されているコマンドに基づいています。そのGUIモード、GVIMは、一般的に使用されているコマンドのメニューとツールバーを追加しますが、完全な機能は依然としてそのコマンドラインモードを通して表現されています。より効率的になる。これを容易にするために、Vimには初心者のためのチュートリアルが組み込まれています。基本的およびより高度なVIM機能を詳述するVimユーザーのマニュアルもあります。このマニュアルはVIM内から読み取ることも、Online.vimには組み込みのヘルプ機能もあります。ユーザーがコマンドと機能を照会して移動させることができるようにする(helpコマンドを使用して)。インストール:ステップ1:ファイルをドロップします。 〜/ .vim /構文(ディレクトリが存在しない場合)注:VIM 7.0以降を使用している場合は、VIMを使用しているオペレーティングシステムのフレーバーを使用して、SV.vbaを自動インストールすることができます。手順2:〜/ .vim / filetype.vimifの次の行を追加します( "did_load_filetypes")終了Endif Augroup FileTypeetect AU! bufread、bufnewfile * .sv setFileType SV AU! BUFFREAD、BUFNEWFILE * .SVI SETFILETYPE SV AUGROUPエンド要件: ・ヴィム ・ビムボール


SystemVerilog 関連ソフトウェア