Simulink HDLコーダ

SimulinkモデルとMATLABコードからHDLコードを生成します。
今すぐダウンロード

Simulink HDLコーダ ランキングとまとめ

広告

  • Rating:
  • ライセンス:
  • Shareware
  • 出版社名:
  • The MathWorks, Inc.
  • 出版社のWebサイト:
  • オペレーティングシステム:
  • Windows XP/2000/98
  • ファイルサイズ:
  • N/A

Simulink HDLコーダ タグ


Simulink HDLコーダ 説明

Simulink HDL Coder で編集Simulinkモデル、Stateflowチャート、および組み込みMATLABコードからビットtrue、cycle-cutyate、synthesizable verilog、およびVHDLコードを生成します。自動生成されたHDLコードはターゲットに依存しません。 Simulink HDL Coder は、IEEE 1076規格に準拠したIEEE 1364-2001規格およびVHDLコードに準拠したVerilogコードを生成します。その結果、CadenceIncisive、MentorGraphicsMoodal、SynopsysVCSなど、一般的な機能検証製品を使用して自動生成されたHDLコードを確認できます。また、AlteraQuartusII、CadenceEncounterRTLコンパイラ、MentorGraphicsPrecisionなど、一般的な合成ツールを使用して、自動生成されたHDLコード(FPGA)またはアプリケーション固有の集積回路(ASIC)をMAPAFER FILED-PROGRAMABLE GARE CIRIACE(ASIC)にマッピングすることもできます。 、Synopsys DesignCompiler、SynplicitySynplify、およびXilinxISE。 Simulink HDL Coder HDLシミュレーションツールを使用して生成されたHDLコードを確認するのに役立つHDLテストベンチを生成します。 主な機能 DataPathの実装のためのSimulinkモデルと組み込みMATLABコードから合成可能なHDLコードを生成します。 MEALYおよびMOORE有限ステートマシンおよび制御ロジック実装のStateFlowチャートから合成可能なHDLコードを生成 IEEE 1364-2001準拠のIEEE 1076準拠およびVerilogコードであるVHDLコードを生成します。 Simulink設計仕様に一致するビットTRUEおよびサイクル正確なモデルを作成できます。 一般的に使用されるブロックの複数のHDLアーキテクチャ実装から選択できます HDLコード生成用のサブシステムを指定できます 既存のIP HDLコードを再利用することができます(EDA Simulator Link Products) シミュレーションと合成スクリプトを生成します


Simulink HDLコーダ 関連ソフトウェア